Using a Soft Core in a SOC Design: Experiences with PicoJava,

S.Dey, P.Sanchez, D.Panigrahi, L.Chen, C.Taylor, K.Sekar, "Using a Soft Core in a SOC Design: Experiences with PicoJava,", IEEE Design and Test of Computers, vol.17, no.3, pp.60-71, July-September 2000.